bnv.cgs 744 B

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061
  1. # frv testcase for bnv $ICCi,$hint,$label16
  2. # mach: all
  3. .include "testutils.inc"
  4. start
  5. .global bnv
  6. bnv:
  7. set_icc 0x0 0
  8. bnv icc0,0,ok1
  9. fail
  10. ok1:
  11. set_icc 0x1 1
  12. bnv icc1,1,ok2
  13. fail
  14. ok2:
  15. set_icc 0x2 2
  16. bnv icc2,2,bad
  17. set_icc 0x3 3
  18. bnv icc3,3,bad
  19. set_icc 0x4 0
  20. bnv icc0,0,ok5
  21. fail
  22. ok5:
  23. set_icc 0x5 1
  24. bnv icc1,1,ok6
  25. fail
  26. ok6:
  27. set_icc 0x6 2
  28. bnv icc2,2,bad
  29. set_icc 0x7 3
  30. bnv icc3,3,bad
  31. set_icc 0x8 0
  32. bnv icc0,0,ok9
  33. fail
  34. ok9:
  35. set_icc 0x9 1
  36. bnv icc1,1,oka
  37. fail
  38. oka:
  39. set_icc 0xa 2
  40. bnv icc2,2,bad
  41. set_icc 0xb 3
  42. bnv icc3,3,bad
  43. set_icc 0xc 0
  44. bnv icc0,0,okd
  45. fail
  46. okd:
  47. set_icc 0xd 1
  48. bnv icc1,1,oke
  49. fail
  50. oke:
  51. set_icc 0xe 2
  52. bnv icc2,2,bad
  53. set_icc 0xf 3
  54. bnv icc3,3,bad
  55. pass
  56. bad:
  57. fail