bra.cgs 709 B

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475
  1. # frv testcase for bra $ICCi,$hint,$label16
  2. # mach: all
  3. .include "testutils.inc"
  4. start
  5. .global bra
  6. bra:
  7. set_icc 0x0 0
  8. bra ok1
  9. fail
  10. ok1:
  11. set_icc 0x1 1
  12. bra ok2
  13. fail
  14. ok2:
  15. set_icc 0x2 2
  16. bra ok3
  17. fail
  18. ok3:
  19. set_icc 0x3 3
  20. bra ok4
  21. fail
  22. ok4:
  23. set_icc 0x4 0
  24. bra ok5
  25. fail
  26. ok5:
  27. set_icc 0x5 1
  28. bra ok6
  29. fail
  30. ok6:
  31. set_icc 0x6 2
  32. bra ok7
  33. fail
  34. ok7:
  35. set_icc 0x7 3
  36. bra ok8
  37. fail
  38. ok8:
  39. set_icc 0x8 0
  40. bra ok9
  41. fail
  42. ok9:
  43. set_icc 0x9 1
  44. bra oka
  45. fail
  46. oka:
  47. set_icc 0xa 2
  48. bra okb
  49. fail
  50. okb:
  51. set_icc 0xb 3
  52. bra okc
  53. fail
  54. okc:
  55. set_icc 0xc 0
  56. bra okd
  57. fail
  58. okd:
  59. set_icc 0xd 1
  60. bra oke
  61. fail
  62. oke:
  63. set_icc 0xe 2
  64. bra okf
  65. fail
  66. okf:
  67. set_icc 0xf 3
  68. bra okg
  69. fail
  70. okg:
  71. pass