rx-decode.opc 36 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282
  1. /* -*- c -*- */
  2. /* Copyright (C) 2012-2022 Free Software Foundation, Inc.
  3. Contributed by Red Hat.
  4. Written by DJ Delorie.
  5. This file is part of the GNU opcodes library.
  6. This library is free software; you can redistribute it and/or modify
  7. it under the terms of the GNU General Public License as published by
  8. the Free Software Foundation; either version 3, or (at your option)
  9. any later version.
  10. It is distributed in the hope that it will be useful, but WITHOUT
  11. ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
  12. or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
  13. License for more details.
  14. You should have received a copy of the GNU General Public License
  15. along with this program; if not, write to the Free Software
  16. Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
  17. MA 02110-1301, USA. */
  18. #include "sysdep.h"
  19. #include <stdio.h>
  20. #include <stdlib.h>
  21. #include <string.h>
  22. #include "ansidecl.h"
  23. #include "opcode/rx.h"
  24. #include "libiberty.h"
  25. #define RX_OPCODE_BIG_ENDIAN 0
  26. typedef struct
  27. {
  28. RX_Opcode_Decoded * rx;
  29. int (* getbyte)(void *);
  30. void * ptr;
  31. unsigned char * op;
  32. } LocalData;
  33. static int trace = 0;
  34. #define BSIZE 0
  35. #define WSIZE 1
  36. #define LSIZE 2
  37. #define DSIZE 3
  38. /* These are for when the upper bits are "don't care" or "undefined". */
  39. static int bwl[4] =
  40. {
  41. RX_Byte,
  42. RX_Word,
  43. RX_Long,
  44. RX_Bad_Size /* Bogus instructions can have a size field set to 3. */
  45. };
  46. static int sbwl[4] =
  47. {
  48. RX_SByte,
  49. RX_SWord,
  50. RX_Long,
  51. RX_Bad_Size /* Bogus instructions can have a size field set to 3. */
  52. };
  53. static int ubw[4] =
  54. {
  55. RX_UByte,
  56. RX_UWord,
  57. RX_Bad_Size,/* Bogus instructions can have a size field set to 2. */
  58. RX_Bad_Size /* Bogus instructions can have a size field set to 3. */
  59. };
  60. static int memex[4] =
  61. {
  62. RX_SByte,
  63. RX_SWord,
  64. RX_Long,
  65. RX_UWord
  66. };
  67. static int _ld[2] =
  68. {
  69. RX_Long,
  70. RX_Double
  71. };
  72. #define ID(x) rx->id = RXO_##x
  73. #define OP(n,t,r,a) (rx->op[n].type = t, \
  74. rx->op[n].reg = r, \
  75. rx->op[n].addend = a )
  76. #define OPs(n,t,r,a,s) (OP (n,t,r,a), \
  77. rx->op[n].size = s )
  78. /* This is for the BWL and BW bitfields. */
  79. static int SCALE[] = { 1, 2, 4, 0 };
  80. /* This is for the prefix size enum. */
  81. static int PSCALE[] = { 4, 1, 1, 1, 2, 2, 2, 3, 4, 8 };
  82. #define GET_SCALE(_indx) ((unsigned)(_indx) < ARRAY_SIZE (SCALE) ? SCALE[(_indx)] : 0)
  83. #define GET_PSCALE(_indx) ((unsigned)(_indx) < ARRAY_SIZE (PSCALE) ? PSCALE[(_indx)] : 0)
  84. static int flagmap[] = {0, 1, 2, 3, 0, 0, 0, 0,
  85. 16, 17, 0, 0, 0, 0, 0, 0 };
  86. static int dsp3map[] = { 8, 9, 10, 3, 4, 5, 6, 7 };
  87. /*
  88. *C a constant (immediate) c
  89. *R A register
  90. *I Register indirect, no offset
  91. *Is Register indirect, with offset
  92. *D standard displacement: type (r,[r],dsp8,dsp16 code), register, BWL code
  93. *P standard displacement: type (r,[r]), reg, assumes UByte
  94. *Pm memex displacement: type (r,[r]), reg, memex code
  95. *cc condition code. */
  96. #define DC(c) OP (0, RX_Operand_Immediate, 0, c)
  97. #define DR(r) OP (0, RX_Operand_Register, r, 0)
  98. #define DI(r,a) OP (0, RX_Operand_Indirect, r, a)
  99. #define DIs(r,a,s) OP (0, RX_Operand_Indirect, r, (a) * GET_SCALE (s))
  100. #define DD(t,r,s) rx_disp (0, t, r, bwl[s], ld);
  101. #define DF(r) OP (0, RX_Operand_Flag, flagmap[r], 0)
  102. #define DCR(r) OP (0, RX_Operand_DoubleCReg, r, 0)
  103. #define DDR(r) OP (0, RX_Operand_DoubleReg, r, 0)
  104. #define DDRH(r) OP (0, RX_Operand_DoubleRegH, r, 0)
  105. #define DDRL(r) OP (0, RX_Operand_DoubleRegL, r, 0)
  106. #define DCND(r) OP (0, RX_Operand_DoubleCond, r, 0)
  107. #define SC(i) OP (1, RX_Operand_Immediate, 0, i)
  108. #define SR(r) OP (1, RX_Operand_Register, r, 0)
  109. #define SRR(r) OP (1, RX_Operand_TwoReg, r, 0)
  110. #define SI(r,a) OP (1, RX_Operand_Indirect, r, a)
  111. #define SIs(r,a,s) OP (1, RX_Operand_Indirect, r, (a) * GET_SCALE (s))
  112. #define SD(t,r,s) rx_disp (1, t, r, bwl[s], ld);
  113. #define SP(t,r) rx_disp (1, t, r, (t!=3) ? RX_UByte : RX_Long, ld); P(t, 1);
  114. #define SPm(t,r,m) rx_disp (1, t, r, memex[m], ld); rx->op[1].size = memex[m];
  115. #define Scc(cc) OP (1, RX_Operand_Condition, cc, 0)
  116. #define SCR(r) OP (1, RX_Operand_DoubleCReg, r, 0)
  117. #define SDR(r) OP (1, RX_Operand_DoubleReg, r, 0)
  118. #define SDRH(r) OP (1, RX_Operand_DoubleRegH, r, 0)
  119. #define SDRL(r) OP (1, RX_Operand_DoubleRegL, r, 0)
  120. #define S2C(i) OP (2, RX_Operand_Immediate, 0, i)
  121. #define S2R(r) OP (2, RX_Operand_Register, r, 0)
  122. #define S2I(r,a) OP (2, RX_Operand_Indirect, r, a)
  123. #define S2Is(r,a,s) OP (2, RX_Operand_Indirect, r, (a) * GET_SCALE (s))
  124. #define S2D(t,r,s) rx_disp (2, t, r, bwl[s], ld);
  125. #define S2P(t,r) rx_disp (2, t, r, (t!=3) ? RX_UByte : RX_Long, ld); P(t, 2);
  126. #define S2Pm(t,r,m) rx_disp (2, t, r, memex[m], ld); rx->op[2].size = memex[m];
  127. #define S2cc(cc) OP (2, RX_Operand_Condition, cc, 0)
  128. #define S2DR(r) OP (2, RX_Operand_DoubleReg, r, 0)
  129. #define S2CR(r) OP (2, RX_Operand_DoubleCReg, r, 0)
  130. #define SDD(t,r,s) rx_disp (1, t, r, bwl, ld);
  131. #define BWL(sz) rx->op[0].size = rx->op[1].size = rx->op[2].size = rx->size = bwl[sz]
  132. #define sBWL(sz) rx->op[0].size = rx->op[1].size = rx->op[2].size = rx->size = sbwl[sz]
  133. #define uBW(sz) rx->op[0].size = rx->op[1].size = rx->op[2].size = rx->size = ubw[sz]
  134. #define P(t, n) rx->op[n].size = (t!=3) ? RX_UByte : RX_Long;
  135. #define DL(sz) rx->op[0].size = rx->op[1].size = rx->op[2].size = rx->size = _ld[sz]
  136. #define F(f) store_flags(rx, f)
  137. #define AU ATTRIBUTE_UNUSED
  138. #define GETBYTE() (ld->op [ld->rx->n_bytes++] = ld->getbyte (ld->ptr))
  139. #define SYNTAX(x) rx->syntax = x
  140. #define UNSUPPORTED() \
  141. rx->syntax = "*unknown*"
  142. #define IMM(sf) immediate (sf, 0, ld)
  143. #define IMMex(sf) immediate (sf, 1, ld)
  144. static int
  145. immediate (int sfield, int ex, LocalData * ld)
  146. {
  147. unsigned long i = 0, j;
  148. switch (sfield)
  149. {
  150. #define B ((unsigned long) GETBYTE())
  151. case 0:
  152. #if RX_OPCODE_BIG_ENDIAN
  153. i = B;
  154. if (ex && (i & 0x80))
  155. i -= 0x100;
  156. i <<= 24;
  157. i |= B << 16;
  158. i |= B << 8;
  159. i |= B;
  160. #else
  161. i = B;
  162. i |= B << 8;
  163. i |= B << 16;
  164. j = B;
  165. if (ex && (j & 0x80))
  166. j -= 0x100;
  167. i |= j << 24;
  168. #endif
  169. break;
  170. case 3:
  171. #if RX_OPCODE_BIG_ENDIAN
  172. i = B << 16;
  173. i |= B << 8;
  174. i |= B;
  175. #else
  176. i = B;
  177. i |= B << 8;
  178. i |= B << 16;
  179. #endif
  180. if (ex && (i & 0x800000))
  181. i -= 0x1000000;
  182. break;
  183. case 2:
  184. #if RX_OPCODE_BIG_ENDIAN
  185. i |= B << 8;
  186. i |= B;
  187. #else
  188. i |= B;
  189. i |= B << 8;
  190. #endif
  191. if (ex && (i & 0x8000))
  192. i -= 0x10000;
  193. break;
  194. case 1:
  195. i |= B;
  196. if (ex && (i & 0x80))
  197. i -= 0x100;
  198. break;
  199. default:
  200. abort();
  201. }
  202. return i;
  203. }
  204. static void
  205. rx_disp (int n, int type, int reg, unsigned int size, LocalData * ld)
  206. {
  207. int disp;
  208. ld->rx->op[n].reg = reg;
  209. switch (type)
  210. {
  211. case 3:
  212. ld->rx->op[n].type = RX_Operand_Register;
  213. break;
  214. case 0:
  215. ld->rx->op[n].type = RX_Operand_Zero_Indirect;
  216. ld->rx->op[n].addend = 0;
  217. break;
  218. case 1:
  219. ld->rx->op[n].type = RX_Operand_Indirect;
  220. disp = GETBYTE ();
  221. ld->rx->op[n].addend = disp * GET_PSCALE (size);
  222. break;
  223. case 2:
  224. ld->rx->op[n].type = RX_Operand_Indirect;
  225. disp = GETBYTE ();
  226. #if RX_OPCODE_BIG_ENDIAN
  227. disp = disp * 256 + GETBYTE ();
  228. #else
  229. disp = disp + GETBYTE () * 256;
  230. #endif
  231. ld->rx->op[n].addend = disp * GET_PSCALE (size);
  232. break;
  233. default:
  234. abort ();
  235. }
  236. }
  237. #define xO 8
  238. #define xS 4
  239. #define xZ 2
  240. #define xC 1
  241. #define F_____
  242. #define F___ZC rx->flags_0 = rx->flags_s = xZ|xC;
  243. #define F__SZ_ rx->flags_0 = rx->flags_s = xS|xZ;
  244. #define F__SZC rx->flags_0 = rx->flags_s = xS|xZ|xC;
  245. #define F_0SZC rx->flags_0 = xO|xS|xZ|xC; rx->flags_s = xS|xZ|xC;
  246. #define F_O___ rx->flags_0 = rx->flags_s = xO;
  247. #define F_OS__ rx->flags_0 = rx->flags_s = xO|xS;
  248. #define F_OSZ_ rx->flags_0 = rx->flags_s = xO|xS|xZ;
  249. #define F_OSZC rx->flags_0 = rx->flags_s = xO|xS|xZ|xC;
  250. int
  251. rx_decode_opcode (unsigned long pc AU,
  252. RX_Opcode_Decoded * rx,
  253. int (* getbyte)(void *),
  254. void * ptr)
  255. {
  256. LocalData lds, * ld = &lds;
  257. unsigned char op[20] = {0};
  258. lds.rx = rx;
  259. lds.getbyte = getbyte;
  260. lds.ptr = ptr;
  261. lds.op = op;
  262. memset (rx, 0, sizeof (*rx));
  263. BWL(LSIZE);
  264. /** VARY sz 00 01 10 */
  265. /*----------------------------------------------------------------------*/
  266. /* MOV */
  267. /** 0111 0101 0100 rdst mov%s #%1, %0 */
  268. ID(mov); DR(rdst); SC(IMM (1)); F_____;
  269. /** 1111 10sd rdst im sz mov%s #%1, %0 */
  270. ID(mov); DD(sd, rdst, sz);
  271. if ((im == 1 && sz == 0)
  272. || (im == 2 && sz == 1)
  273. || (im == 0 && sz == 2))
  274. {
  275. BWL (sz);
  276. SC(IMM(im));
  277. }
  278. else
  279. {
  280. sBWL (sz);
  281. SC(IMMex(im));
  282. }
  283. F_____;
  284. /** 0110 0110 immm rdst mov%s #%1, %0 */
  285. ID(mov); DR(rdst); SC(immm); F_____;
  286. /** 0011 11sz d dst sppp mov%s #%1, %0 */
  287. ID(mov); sBWL (sz); DIs(dst, d*16+sppp, sz); SC(IMM(1)); F_____;
  288. /** 11sz sd ss rsrc rdst mov%s %1, %0 */
  289. if (sd == 3 && ss == 3 && sz == 2 && rsrc == 0 && rdst == 0)
  290. {
  291. ID(nop2);
  292. SYNTAX ("nop\t; mov.l\tr0, r0");
  293. }
  294. else
  295. {
  296. ID(mov); sBWL(sz); F_____;
  297. if ((ss == 3) && (sd != 3))
  298. {
  299. SD(ss, rdst, sz); DD(sd, rsrc, sz);
  300. }
  301. else
  302. {
  303. SD(ss, rsrc, sz); DD(sd, rdst, sz);
  304. }
  305. }
  306. /** 10sz 1dsp a src b dst mov%s %1, %0 */
  307. ID(mov); sBWL(sz); DR(dst); SIs(src, dsp*4+a*2+b, sz); F_____;
  308. /** 10sz 0dsp a dst b src mov%s %1, %0 */
  309. ID(mov); sBWL(sz); DIs(dst, dsp*4+a*2+b, sz); SR(src); F_____;
  310. /** 1111 1110 01sz isrc bsrc rdst mov%s [%1, %2], %0 */
  311. ID(movbi); sBWL(sz); DR(rdst); SRR(isrc); S2R(bsrc); F_____;
  312. /** 1111 1110 00sz isrc bsrc rdst mov%s %0, [%1, %2] */
  313. ID(movbir); sBWL(sz); DR(rdst); SRR(isrc); S2R(bsrc); F_____;
  314. /** 1111 1110 11sz isrc bsrc rdst movu%s [%1, %2], %0 */
  315. ID(movbi); uBW(sz); DR(rdst); SRR(isrc); S2R(bsrc); F_____;
  316. /** 1111 1101 0010 0p sz rdst rsrc mov%s %1, %0 */
  317. ID(mov); sBWL (sz); SR(rsrc); F_____;
  318. OP(0, p ? RX_Operand_Predec : RX_Operand_Postinc, rdst, 0);
  319. /** 1111 1101 0010 1p sz rsrc rdst mov%s %1, %0 */
  320. ID(mov); sBWL (sz); DR(rdst); F_____;
  321. OP(1, p ? RX_Operand_Predec : RX_Operand_Postinc, rsrc, 0);
  322. /** 1011 w dsp a src b dst movu%s %1, %0 */
  323. ID(mov); uBW(w); DR(dst); SIs(src, dsp*4+a*2+b, w); F_____;
  324. /** 0101 1 s ss rsrc rdst movu%s %1, %0 */
  325. ID(mov); uBW(s); SD(ss, rsrc, s); DR(rdst); F_____;
  326. /** 1111 1101 0011 1p sz rsrc rdst movu%s %1, %0 */
  327. ID(mov); uBW (sz); DR(rdst); F_____;
  328. OP(1, p ? RX_Operand_Predec : RX_Operand_Postinc, rsrc, 0);
  329. /*----------------------------------------------------------------------*/
  330. /* PUSH/POP */
  331. /** 0110 1111 dsta dstb popm %1-%2 */
  332. ID(popm); SR(dsta); S2R(dstb); F_____;
  333. /** 0110 1110 dsta dstb pushm %1-%2 */
  334. ID(pushm); SR(dsta); S2R(dstb); F_____;
  335. /** 0111 1110 1011 rdst pop %0 */
  336. ID(mov); OP(1, RX_Operand_Postinc, 0, 0); DR(rdst); F_____;
  337. /** 0111 1110 10sz rsrc push%s %1 */
  338. ID(mov); BWL(sz); OP(0, RX_Operand_Predec, 0, 0); SR(rsrc); F_____;
  339. /** 1111 01ss rsrc 10sz push%s %1 */
  340. ID(mov); BWL(sz); OP(0, RX_Operand_Predec, 0, 0); SD(ss, rsrc, sz); F_____;
  341. /*----------------------------------------------------------------------*/
  342. /* XCHG */
  343. /** 1111 1100 0100 00ss rsrc rdst xchg %1%S1, %0 */
  344. ID(xchg); DR(rdst); SP(ss, rsrc);
  345. /** 0000 0110 mx10 00ss 0001 0000 rsrc rdst xchg %1%S1, %0 */
  346. ID(xchg); DR(rdst); SPm(ss, rsrc, mx);
  347. /*----------------------------------------------------------------------*/
  348. /* STZ/STNZ */
  349. /** 1111 1101 0111 im00 1110rdst stz #%1, %0 */
  350. ID(stcc); SC(IMMex(im)); DR(rdst); S2cc(RXC_z);
  351. /** 1111 1101 0111 im00 1111rdst stnz #%1, %0 */
  352. ID(stcc); SC(IMMex(im)); DR(rdst); S2cc(RXC_nz);
  353. /*----------------------------------------------------------------------*/
  354. /* RTSD */
  355. /** 0110 0111 rtsd #%1 */
  356. ID(rtsd); SC(IMM(1) * 4);
  357. /** 0011 1111 rega regb rtsd #%1, %2-%0 */
  358. ID(rtsd); SC(IMM(1) * 4); S2R(rega); DR(regb);
  359. /*----------------------------------------------------------------------*/
  360. /* AND */
  361. /** 0110 0100 immm rdst and #%1, %0 */
  362. ID(and); SC(immm); DR(rdst); F__SZ_;
  363. /** 0111 01im 0010 rdst and #%1, %0 */
  364. ID(and); SC(IMMex(im)); DR(rdst); F__SZ_;
  365. /** 0101 00ss rsrc rdst and %1%S1, %0 */
  366. ID(and); SP(ss, rsrc); DR(rdst); F__SZ_;
  367. /** 0000 0110 mx01 00ss rsrc rdst and %1%S1, %0 */
  368. ID(and); SPm(ss, rsrc, mx); DR(rdst); F__SZ_;
  369. /** 1111 1111 0100 rdst srca srcb and %2, %1, %0 */
  370. ID(and); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  371. /*----------------------------------------------------------------------*/
  372. /* OR */
  373. /** 0110 0101 immm rdst or #%1, %0 */
  374. ID(or); SC(immm); DR(rdst); F__SZ_;
  375. /** 0111 01im 0011 rdst or #%1, %0 */
  376. ID(or); SC(IMMex(im)); DR(rdst); F__SZ_;
  377. /** 0101 01ss rsrc rdst or %1%S1, %0 */
  378. ID(or); SP(ss, rsrc); DR(rdst); F__SZ_;
  379. /** 0000 0110 mx01 01ss rsrc rdst or %1%S1, %0 */
  380. ID(or); SPm(ss, rsrc, mx); DR(rdst); F__SZ_;
  381. /** 1111 1111 0101 rdst srca srcb or %2, %1, %0 */
  382. ID(or); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  383. /*----------------------------------------------------------------------*/
  384. /* XOR */
  385. /** 1111 1101 0111 im00 1101rdst xor #%1, %0 */
  386. ID(xor); SC(IMMex(im)); DR(rdst); F__SZ_;
  387. /** 1111 1100 0011 01ss rsrc rdst xor %1%S1, %0 */
  388. ID(xor); SP(ss, rsrc); DR(rdst); F__SZ_;
  389. /** 0000 0110 mx10 00ss 0000 1101 rsrc rdst xor %1%S1, %0 */
  390. ID(xor); SPm(ss, rsrc, mx); DR(rdst); F__SZ_;
  391. /*----------------------------------------------------------------------*/
  392. /* NOT */
  393. /** 0111 1110 0000 rdst not %0 */
  394. ID(xor); DR(rdst); SR(rdst); S2C(~0); F__SZ_;
  395. /** 1111 1100 0011 1011 rsrc rdst not %1, %0 */
  396. ID(xor); DR(rdst); SR(rsrc); S2C(~0); F__SZ_;
  397. /*----------------------------------------------------------------------*/
  398. /* TST */
  399. /** 1111 1101 0111 im00 1100rdst tst #%1, %2 */
  400. ID(and); SC(IMMex(im)); S2R(rdst); F__SZ_;
  401. /** 1111 1100 0011 00ss rsrc rdst tst %1%S1, %2 */
  402. ID(and); SP(ss, rsrc); S2R(rdst); F__SZ_;
  403. /** 0000 0110 mx10 00ss 0000 1100 rsrc rdst tst %1%S1, %2 */
  404. ID(and); SPm(ss, rsrc, mx); S2R(rdst); F__SZ_;
  405. /*----------------------------------------------------------------------*/
  406. /* NEG */
  407. /** 0111 1110 0001 rdst neg %0 */
  408. ID(sub); DR(rdst); SC(0); S2R(rdst); F_OSZC;
  409. /** 1111 1100 0000 0111 rsrc rdst neg %2, %0 */
  410. ID(sub); DR(rdst); SC(0); S2R(rsrc); F_OSZC;
  411. /*----------------------------------------------------------------------*/
  412. /* ADC */
  413. /** 1111 1101 0111 im00 0010rdst adc #%1, %0 */
  414. ID(adc); SC(IMMex(im)); DR(rdst); F_OSZC;
  415. /** 1111 1100 0000 1011 rsrc rdst adc %1, %0 */
  416. ID(adc); SR(rsrc); DR(rdst); F_OSZC;
  417. /** 0000 0110 1010 00ss 0000 0010 rsrc rdst adc %1%S1, %0 */
  418. ID(adc); SPm(ss, rsrc, 2); DR(rdst); F_OSZC;
  419. /*----------------------------------------------------------------------*/
  420. /* ADD */
  421. /** 0110 0010 immm rdst add #%1, %0 */
  422. ID(add); SC(immm); DR(rdst); F_OSZC;
  423. /** 0100 10ss rsrc rdst add %1%S1, %0 */
  424. ID(add); SP(ss, rsrc); DR(rdst); F_OSZC;
  425. /** 0000 0110 mx00 10ss rsrc rdst add %1%S1, %0 */
  426. ID(add); SPm(ss, rsrc, mx); DR(rdst); F_OSZC;
  427. /** 0111 00im rsrc rdst add #%1, %2, %0 */
  428. ID(add); SC(IMMex(im)); S2R(rsrc); DR(rdst); F_OSZC;
  429. /** 1111 1111 0010 rdst srca srcb add %2, %1, %0 */
  430. ID(add); DR(rdst); SR(srcb); S2R(srca); F_OSZC;
  431. /*----------------------------------------------------------------------*/
  432. /* CMP */
  433. /** 0110 0001 immm rdst cmp #%2, %1 */
  434. ID(sub); S2C(immm); SR(rdst); F_OSZC;
  435. /** 0111 01im 0000 rsrc cmp #%2, %1%S1 */
  436. ID(sub); SR(rsrc); S2C(IMMex(im)); F_OSZC;
  437. /** 0111 0101 0101 rsrc cmp #%2, %1 */
  438. ID(sub); SR(rsrc); S2C(IMM(1)); F_OSZC;
  439. /** 0100 01ss rsrc rdst cmp %2%S2, %1 */
  440. ID(sub); S2P(ss, rsrc); SR(rdst); F_OSZC;
  441. /** 0000 0110 mx00 01ss rsrc rdst cmp %2%S2, %1 */
  442. ID(sub); S2Pm(ss, rsrc, mx); SR(rdst); F_OSZC;
  443. /*----------------------------------------------------------------------*/
  444. /* SUB */
  445. /** 0110 0000 immm rdst sub #%2, %0 */
  446. ID(sub); S2C(immm); SR(rdst); DR(rdst); F_OSZC;
  447. /** 0100 00ss rsrc rdst sub %2%S2, %1 */
  448. ID(sub); S2P(ss, rsrc); SR(rdst); DR(rdst); F_OSZC;
  449. /** 0000 0110 mx00 00ss rsrc rdst sub %2%S2, %1 */
  450. ID(sub); S2Pm(ss, rsrc, mx); SR(rdst); DR(rdst); F_OSZC;
  451. /** 1111 1111 0000 rdst srca srcb sub %2, %1, %0 */
  452. ID(sub); DR(rdst); SR(srcb); S2R(srca); F_OSZC;
  453. /*----------------------------------------------------------------------*/
  454. /* SBB */
  455. /** 1111 1100 0000 0011 rsrc rdst sbb %1, %0 */
  456. ID(sbb); SR (rsrc); DR(rdst); F_OSZC;
  457. /* FIXME: only supports .L */
  458. /** 0000 0110 mx10 00sp 0000 0000 rsrc rdst sbb %1%S1, %0 */
  459. ID(sbb); SPm(sp, rsrc, mx); DR(rdst); F_OSZC;
  460. /*----------------------------------------------------------------------*/
  461. /* ABS */
  462. /** 0111 1110 0010 rdst abs %0 */
  463. ID(abs); DR(rdst); SR(rdst); F_OSZ_;
  464. /** 1111 1100 0000 1111 rsrc rdst abs %1, %0 */
  465. ID(abs); DR(rdst); SR(rsrc); F_OSZ_;
  466. /*----------------------------------------------------------------------*/
  467. /* MAX */
  468. /** 1111 1101 0111 im00 0100rdst max #%1, %0 */
  469. int val = IMMex (im);
  470. if (im == 0 && (unsigned) val == 0x80000000 && rdst == 0)
  471. {
  472. ID (nop7);
  473. SYNTAX("nop\t; max\t#0x80000000, r0");
  474. }
  475. else
  476. {
  477. ID(max);
  478. }
  479. DR(rdst); SC(val);
  480. /** 1111 1100 0001 00ss rsrc rdst max %1%S1, %0 */
  481. if (ss == 3 && rsrc == 0 && rdst == 0)
  482. {
  483. ID(nop3);
  484. SYNTAX("nop\t; max\tr0, r0");
  485. }
  486. else
  487. {
  488. ID(max); SP(ss, rsrc); DR(rdst);
  489. }
  490. /** 0000 0110 mx10 00ss 0000 0100 rsrc rdst max %1%S1, %0 */
  491. ID(max); SPm(ss, rsrc, mx); DR(rdst);
  492. /*----------------------------------------------------------------------*/
  493. /* MIN */
  494. /** 1111 1101 0111 im00 0101rdst min #%1, %0 */
  495. ID(min); DR(rdst); SC(IMMex(im));
  496. /** 1111 1100 0001 01ss rsrc rdst min %1%S1, %0 */
  497. ID(min); SP(ss, rsrc); DR(rdst);
  498. /** 0000 0110 mx10 00ss 0000 0101 rsrc rdst min %1%S1, %0 */
  499. ID(min); SPm(ss, rsrc, mx); DR(rdst);
  500. /*----------------------------------------------------------------------*/
  501. /* MUL */
  502. /** 0110 0011 immm rdst mul #%1, %0 */
  503. if (immm == 1 && rdst == 0)
  504. {
  505. ID(nop2);
  506. SYNTAX ("nop\t; mul\t#1, r0");
  507. }
  508. else
  509. {
  510. ID(mul);
  511. }
  512. DR(rdst); SC(immm); F_____;
  513. /** 0111 01im 0001rdst mul #%1, %0 */
  514. int val = IMMex(im);
  515. if (val == 1 && rdst == 0)
  516. {
  517. SYNTAX("nop\t; mul\t#1, r0");
  518. switch (im)
  519. {
  520. case 2: ID(nop4); break;
  521. case 3: ID(nop5); break;
  522. case 0: ID(nop6); break;
  523. default:
  524. ID(mul);
  525. SYNTAX("mul #%1, %0");
  526. break;
  527. }
  528. }
  529. else
  530. {
  531. ID(mul);
  532. }
  533. DR(rdst); SC(val); F_____;
  534. /** 0100 11ss rsrc rdst mul %1%S1, %0 */
  535. ID(mul); SP(ss, rsrc); DR(rdst); F_____;
  536. /** 0000 0110 mx00 11ss rsrc rdst mul %1%S1, %0 */
  537. ID(mul); SPm(ss, rsrc, mx); DR(rdst); F_____;
  538. /** 1111 1111 0011 rdst srca srcb mul %2, %1, %0 */
  539. ID(mul); DR(rdst); SR(srcb); S2R(srca); F_____;
  540. /*----------------------------------------------------------------------*/
  541. /* EMUL */
  542. /** 1111 1101 0111 im00 0110rdst emul #%1, %0 */
  543. ID(emul); DR(rdst); SC(IMMex(im));
  544. /** 1111 1100 0001 10ss rsrc rdst emul %1%S1, %0 */
  545. ID(emul); SP(ss, rsrc); DR(rdst);
  546. /** 0000 0110 mx10 00ss 0000 0110 rsrc rdst emul %1%S1, %0 */
  547. ID(emul); SPm(ss, rsrc, mx); DR(rdst);
  548. /*----------------------------------------------------------------------*/
  549. /* EMULU */
  550. /** 1111 1101 0111 im00 0111rdst emulu #%1, %0 */
  551. ID(emulu); DR(rdst); SC(IMMex(im));
  552. /** 1111 1100 0001 11ss rsrc rdst emulu %1%S1, %0 */
  553. ID(emulu); SP(ss, rsrc); DR(rdst);
  554. /** 0000 0110 mx10 00ss 0000 0111 rsrc rdst emulu %1%S1, %0 */
  555. ID(emulu); SPm(ss, rsrc, mx); DR(rdst);
  556. /*----------------------------------------------------------------------*/
  557. /* DIV */
  558. /** 1111 1101 0111 im00 1000rdst div #%1, %0 */
  559. ID(div); DR(rdst); SC(IMMex(im)); F_O___;
  560. /** 1111 1100 0010 00ss rsrc rdst div %1%S1, %0 */
  561. ID(div); SP(ss, rsrc); DR(rdst); F_O___;
  562. /** 0000 0110 mx10 00ss 0000 1000 rsrc rdst div %1%S1, %0 */
  563. ID(div); SPm(ss, rsrc, mx); DR(rdst); F_O___;
  564. /*----------------------------------------------------------------------*/
  565. /* DIVU */
  566. /** 1111 1101 0111 im00 1001rdst divu #%1, %0 */
  567. ID(divu); DR(rdst); SC(IMMex(im)); F_O___;
  568. /** 1111 1100 0010 01ss rsrc rdst divu %1%S1, %0 */
  569. ID(divu); SP(ss, rsrc); DR(rdst); F_O___;
  570. /** 0000 0110 mx10 00ss 0000 1001 rsrc rdst divu %1%S1, %0 */
  571. ID(divu); SPm(ss, rsrc, mx); DR(rdst); F_O___;
  572. /*----------------------------------------------------------------------*/
  573. /* SHIFT */
  574. /** 0110 110i mmmm rdst shll #%2, %0 */
  575. ID(shll); S2C(i*16+mmmm); SR(rdst); DR(rdst); F_OSZC;
  576. /** 1111 1101 0110 0010 rsrc rdst shll %2, %0 */
  577. ID(shll); S2R(rsrc); SR(rdst); DR(rdst); F_OSZC;
  578. /** 1111 1101 110immmm rsrc rdst shll #%2, %1, %0 */
  579. ID(shll); S2C(immmm); SR(rsrc); DR(rdst); F_OSZC;
  580. /** 0110 101i mmmm rdst shar #%2, %0 */
  581. ID(shar); S2C(i*16+mmmm); SR(rdst); DR(rdst); F_0SZC;
  582. /** 1111 1101 0110 0001 rsrc rdst shar %2, %0 */
  583. ID(shar); S2R(rsrc); SR(rdst); DR(rdst); F_0SZC;
  584. /** 1111 1101 101immmm rsrc rdst shar #%2, %1, %0 */
  585. ID(shar); S2C(immmm); SR(rsrc); DR(rdst); F_0SZC;
  586. /** 0110 100i mmmm rdst shlr #%2, %0 */
  587. ID(shlr); S2C(i*16+mmmm); SR(rdst); DR(rdst); F__SZC;
  588. /** 1111 1101 0110 0000 rsrc rdst shlr %2, %0 */
  589. ID(shlr); S2R(rsrc); SR(rdst); DR(rdst); F__SZC;
  590. /** 1111 1101 100immmm rsrc rdst shlr #%2, %1, %0 */
  591. ID(shlr); S2C(immmm); SR(rsrc); DR(rdst); F__SZC;
  592. /*----------------------------------------------------------------------*/
  593. /* ROTATE */
  594. /** 0111 1110 0101 rdst rolc %0 */
  595. ID(rolc); DR(rdst); F__SZC;
  596. /** 0111 1110 0100 rdst rorc %0 */
  597. ID(rorc); DR(rdst); F__SZC;
  598. /** 1111 1101 0110 111i mmmm rdst rotl #%1, %0 */
  599. ID(rotl); SC(i*16+mmmm); DR(rdst); F__SZC;
  600. /** 1111 1101 0110 0110 rsrc rdst rotl %1, %0 */
  601. ID(rotl); SR(rsrc); DR(rdst); F__SZC;
  602. /** 1111 1101 0110 110i mmmm rdst rotr #%1, %0 */
  603. ID(rotr); SC(i*16+mmmm); DR(rdst); F__SZC;
  604. /** 1111 1101 0110 0100 rsrc rdst rotr %1, %0 */
  605. ID(rotr); SR(rsrc); DR(rdst); F__SZC;
  606. /** 1111 1101 0110 0101 rsrc rdst revw %1, %0 */
  607. ID(revw); SR(rsrc); DR(rdst);
  608. /** 1111 1101 0110 0111 rsrc rdst revl %1, %0 */
  609. ID(revl); SR(rsrc); DR(rdst);
  610. /*----------------------------------------------------------------------*/
  611. /* BRANCH */
  612. /** 0001 n dsp b%1.s %a0 */
  613. ID(branch); Scc(n); DC(pc + dsp3map[dsp]);
  614. /** 0010 cond b%1.b %a0 */
  615. ID(branch); Scc(cond); DC(pc + IMMex (1));
  616. /** 0011 101c b%1.w %a0 */
  617. ID(branch); Scc(c); DC(pc + IMMex (2));
  618. /** 0000 1dsp bra.s %a0 */
  619. ID(branch); DC(pc + dsp3map[dsp]);
  620. /** 0010 1110 bra.b %a0 */
  621. ID(branch); DC(pc + IMMex(1));
  622. /** 0011 1000 bra.w %a0 */
  623. ID(branch); DC(pc + IMMex(2));
  624. /** 0000 0100 bra.a %a0 */
  625. ID(branch); DC(pc + IMMex(3));
  626. /** 0111 1111 0100 rsrc bra.l %0 */
  627. ID(branchrel); DR(rsrc);
  628. /** 0111 1111 0000 rsrc jmp %0 */
  629. ID(branch); DR(rsrc);
  630. /** 0111 1111 0001 rsrc jsr %0 */
  631. ID(jsr); DR(rsrc);
  632. /** 0011 1001 bsr.w %a0 */
  633. ID(jsr); DC(pc + IMMex(2));
  634. /** 0000 0101 bsr.a %a0 */
  635. ID(jsr); DC(pc + IMMex(3));
  636. /** 0111 1111 0101 rsrc bsr.l %0 */
  637. ID(jsrrel); DR(rsrc);
  638. /** 0000 0010 rts */
  639. ID(rts);
  640. /*----------------------------------------------------------------------*/
  641. /* NOP */
  642. /** 0000 0011 nop */
  643. ID(nop);
  644. /*----------------------------------------------------------------------*/
  645. /* STRING FUNCTIONS */
  646. /** 0111 1111 1000 0011 scmpu */
  647. ID(scmpu); F___ZC;
  648. /** 0111 1111 1000 0111 smovu */
  649. ID(smovu);
  650. /** 0111 1111 1000 1011 smovb */
  651. ID(smovb);
  652. /** 0111 1111 1000 00sz suntil%s */
  653. ID(suntil); BWL(sz); F___ZC;
  654. /** 0111 1111 1000 01sz swhile%s */
  655. ID(swhile); BWL(sz); F___ZC;
  656. /** 0111 1111 1000 1111 smovf */
  657. ID(smovf);
  658. /** 0111 1111 1000 10sz sstr%s */
  659. ID(sstr); BWL(sz);
  660. /*----------------------------------------------------------------------*/
  661. /* RMPA */
  662. /** 0111 1111 1000 11sz rmpa%s */
  663. ID(rmpa); BWL(sz); F_OS__;
  664. /*----------------------------------------------------------------------*/
  665. /* HI/LO stuff */
  666. /** 1111 1101 0000 a000 srca srcb mulhi %1, %2, %0 */
  667. ID(mulhi); DR(a+32); SR(srca); S2R(srcb); F_____;
  668. /** 1111 1101 0000 a001 srca srcb mullo %1, %2, %0 */
  669. ID(mullo); DR(a+32); SR(srca); S2R(srcb); F_____;
  670. /** 1111 1101 0000 a100 srca srcb machi %1, %2, %0 */
  671. ID(machi); DR(a+32); SR(srca); S2R(srcb); F_____;
  672. /** 1111 1101 0000 a101 srca srcb maclo %1, %2, %0 */
  673. ID(maclo); DR(a+32); SR(srca); S2R(srcb); F_____;
  674. /** 1111 1101 0001 0111 a000 rsrc mvtachi %1, %0 */
  675. ID(mvtachi); DR(a+32); SR(rsrc); F_____;
  676. /** 1111 1101 0001 0111 a001 rsrc mvtaclo %1, %0 */
  677. ID(mvtaclo); DR(a+32); SR(rsrc); F_____;
  678. /** 1111 1101 0001 111i a m00 rdst mvfachi #%2, %1, %0 */
  679. ID(mvfachi); S2C(((i^1)<<1)|m); SR(a+32); DR(rdst); F_____;
  680. /** 1111 1101 0001 111i a m10 rdst mvfacmi #%2, %1, %0 */
  681. ID(mvfacmi); S2C(((i^1)<<1)|m); SR(a+32); DR(rdst); F_____;
  682. /** 1111 1101 0001 111i a m01 rdst mvfaclo #%2, %1, %0 */
  683. ID(mvfaclo); S2C(((i^1)<<1)|m); SR(a+32); DR(rdst); F_____;
  684. /** 1111 1101 0001 1000 a00i 0000 racw #%1, %0 */
  685. ID(racw); SC(i+1); DR(a+32); F_____;
  686. /*----------------------------------------------------------------------*/
  687. /* SAT */
  688. /** 0111 1110 0011 rdst sat %0 */
  689. ID(sat); DR (rdst);
  690. /** 0111 1111 1001 0011 satr */
  691. ID(satr);
  692. /*----------------------------------------------------------------------*/
  693. /* FLOAT */
  694. /** 1111 1101 0111 0010 0010 rdst fadd #%1, %0 */
  695. ID(fadd); DR(rdst); SC(IMM(0)); F__SZ_;
  696. /** 1111 1100 1000 10sd rsrc rdst fadd %1%S1, %0 */
  697. ID(fadd); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  698. /** 1111 1101 0111 0010 0001 rdst fcmp #%1, %0 */
  699. ID(fcmp); DR(rdst); SC(IMM(0)); F_OSZ_;
  700. /** 1111 1100 1000 01sd rsrc rdst fcmp %1%S1, %0 */
  701. ID(fcmp); DR(rdst); SD(sd, rsrc, LSIZE); F_OSZ_;
  702. /** 1111 1101 0111 0010 0000 rdst fsub #%1, %0 */
  703. ID(fsub); DR(rdst); SC(IMM(0)); F__SZ_;
  704. /** 1111 1100 1000 00sd rsrc rdst fsub %1%S1, %0 */
  705. ID(fsub); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  706. /** 1111 1100 1001 01sd rsrc rdst ftoi %1%S1, %0 */
  707. ID(ftoi); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  708. /** 1111 1101 0111 0010 0011 rdst fmul #%1, %0 */
  709. ID(fmul); DR(rdst); SC(IMM(0)); F__SZ_;
  710. /** 1111 1100 1000 11sd rsrc rdst fmul %1%S1, %0 */
  711. ID(fmul); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  712. /** 1111 1101 0111 0010 0100 rdst fdiv #%1, %0 */
  713. ID(fdiv); DR(rdst); SC(IMM(0)); F__SZ_;
  714. /** 1111 1100 1001 00sd rsrc rdst fdiv %1%S1, %0 */
  715. ID(fdiv); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  716. /** 1111 1100 1001 10sd rsrc rdst round %1%S1, %0 */
  717. ID(round); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  718. /** 1111 1100 0100 01sd rsrc rdst itof %1%S1, %0 */
  719. ID(itof); DR (rdst); SP(sd, rsrc); F__SZ_;
  720. /** 0000 0110 mx10 00sd 0001 0001 rsrc rdst itof %1%S1, %0 */
  721. ID(itof); DR (rdst); SPm(sd, rsrc, mx); F__SZ_;
  722. /*----------------------------------------------------------------------*/
  723. /* BIT OPS */
  724. /** 1111 00sd rdst 0bit bset #%1, %0%S0 */
  725. ID(bset); BWL(BSIZE); SC(bit); DD(sd, rdst, BSIZE); F_____;
  726. /** 1111 1100 0110 00sd rdst rsrc bset %1, %0%S0 */
  727. ID(bset); BWL(BSIZE); SR(rsrc); DD(sd, rdst, BSIZE); F_____;
  728. if (sd == 3) /* bset reg,reg */
  729. BWL(LSIZE);
  730. /** 0111 100b ittt rdst bset #%1, %0 */
  731. ID(bset); BWL(LSIZE); SC(b*16+ittt); DR(rdst); F_____;
  732. /** 1111 00sd rdst 1bit bclr #%1, %0%S0 */
  733. ID(bclr); BWL(BSIZE); SC(bit); DD(sd, rdst, BSIZE); F_____;
  734. /** 1111 1100 0110 01sd rdst rsrc bclr %1, %0%S0 */
  735. ID(bclr); BWL(BSIZE); SR(rsrc); DD(sd, rdst, BSIZE); F_____;
  736. if (sd == 3) /* bset reg,reg */
  737. BWL(LSIZE);
  738. /** 0111 101b ittt rdst bclr #%1, %0 */
  739. ID(bclr); BWL(LSIZE); SC(b*16+ittt); DR(rdst); F_____;
  740. /** 1111 01sd rdst 0bit btst #%2, %1%S1 */
  741. ID(btst); BWL(BSIZE); S2C(bit); SD(sd, rdst, BSIZE); F___ZC;
  742. /** 1111 1100 0110 10sd rdst rsrc btst %2, %1%S1 */
  743. ID(btst); BWL(BSIZE); S2R(rsrc); SD(sd, rdst, BSIZE); F___ZC;
  744. if (sd == 3) /* bset reg,reg */
  745. BWL(LSIZE);
  746. /** 0111 110b ittt rdst btst #%2, %1 */
  747. ID(btst); BWL(LSIZE); S2C(b*16+ittt); SR(rdst); F___ZC;
  748. /** 1111 1100 111bit sd rdst 1111 bnot #%1, %0%S0 */
  749. ID(bnot); BWL(BSIZE); SC(bit); DD(sd, rdst, BSIZE);
  750. /** 1111 1100 0110 11sd rdst rsrc bnot %1, %0%S0 */
  751. ID(bnot); BWL(BSIZE); SR(rsrc); DD(sd, rdst, BSIZE);
  752. if (sd == 3) /* bset reg,reg */
  753. BWL(LSIZE);
  754. /** 1111 1101 111bittt 1111 rdst bnot #%1, %0 */
  755. ID(bnot); BWL(LSIZE); SC(bittt); DR(rdst);
  756. /** 1111 1100 111bit sd rdst cond bm%2 #%1, %0%S0 */
  757. ID(bmcc); BWL(BSIZE); S2cc(cond); SC(bit); DD(sd, rdst, BSIZE);
  758. /** 1111 1101 111 bittt cond rdst bm%2 #%1, %0%S0 */
  759. ID(bmcc); BWL(LSIZE); S2cc(cond); SC(bittt); DR(rdst);
  760. /*----------------------------------------------------------------------*/
  761. /* CONTROL REGISTERS */
  762. /** 0111 1111 1011 rdst clrpsw %0 */
  763. ID(clrpsw); DF(rdst);
  764. /** 0111 1111 1010 rdst setpsw %0 */
  765. ID(setpsw); DF(rdst);
  766. /** 0111 0101 0111 0000 0000 immm mvtipl #%1 */
  767. ID(mvtipl); SC(immm);
  768. /** 0111 1110 111 crdst popc %0 */
  769. ID(mov); OP(1, RX_Operand_Postinc, 0, 0); DR(crdst + 16);
  770. /** 0111 1110 110 crsrc pushc %1 */
  771. ID(mov); OP(0, RX_Operand_Predec, 0, 0); SR(crsrc + 16);
  772. /** 1111 1101 0111 im11 000crdst mvtc #%1, %0 */
  773. ID(mov); SC(IMMex(im)); DR(crdst + 16);
  774. /** 1111 1101 0110 100c rsrc rdst mvtc %1, %0 */
  775. ID(mov); SR(rsrc); DR(c*16+rdst + 16);
  776. /** 1111 1101 0110 101s rsrc rdst mvfc %1, %0 */
  777. ID(mov); SR((s*16+rsrc) + 16); DR(rdst);
  778. /*----------------------------------------------------------------------*/
  779. /* INTERRUPTS */
  780. /** 0111 1111 1001 0100 rtfi */
  781. ID(rtfi);
  782. /** 0111 1111 1001 0101 rte */
  783. ID(rte);
  784. /** 0000 0000 brk */
  785. ID(brk);
  786. /** 0000 0001 dbt */
  787. ID(dbt);
  788. /** 0111 0101 0110 0000 int #%1 */
  789. ID(int); SC(IMM(1));
  790. /** 0111 1111 1001 0110 wait */
  791. ID(wait);
  792. /*----------------------------------------------------------------------*/
  793. /* SCcnd */
  794. /** 1111 1100 1101 sz sd rdst cond sc%1%s %0 */
  795. ID(sccnd); BWL(sz); DD (sd, rdst, sz); Scc(cond);
  796. /*----------------------------------------------------------------------*/
  797. /* RXv2 enhanced */
  798. /** 1111 1101 0010 0111 rdst rsrc movco %1, [%0] */
  799. ID(movco); SR(rsrc); DR(rdst); F_____;
  800. /** 1111 1101 0010 1111 rsrc rdst movli [%1], %0 */
  801. ID(movli); SR(rsrc); DR(rdst); F_____;
  802. /** 1111 1100 0100 1011 rsrc rdst stz %1, %0 */
  803. ID(stcc); SR(rsrc); DR(rdst); S2cc(RXC_z);
  804. /** 1111 1100 0100 1111 rsrc rdst stnz %1, %0 */
  805. ID(stcc); SR(rsrc); DR(rdst); S2cc(RXC_nz);
  806. /** 1111 1101 0000 a111 srca srcb emaca %1, %2, %0 */
  807. ID(emaca); DR(a+32); SR(srca); S2R(srcb); F_____;
  808. /** 1111 1101 0100 a111 srca srcb emsba %1, %2, %0 */
  809. ID(emsba); DR(a+32); SR(srca); S2R(srcb); F_____;
  810. /** 1111 1101 0000 a011 srca srcb emula %1, %2, %0 */
  811. ID(emula); DR(a+32); SR(srca); S2R(srcb); F_____;
  812. /** 1111 1101 0000 a110 srca srcb maclh %1, %2, %0 */
  813. ID(maclh); DR(a+32); SR(srca); S2R(srcb); F_____;
  814. /** 1111 1101 0100 a100 srca srcb msbhi %1, %2, %0 */
  815. ID(msbhi); DR(a+32); SR(srca); S2R(srcb); F_____;
  816. /** 1111 1101 0100 a110 srca srcb msblh %1, %2, %0 */
  817. ID(msblh); DR(a+32); SR(srca); S2R(srcb); F_____;
  818. /** 1111 1101 0100 a101 srca srcb msblo %1, %2, %0 */
  819. ID(msblo); DR(a+32); SR(srca); S2R(srcb); F_____;
  820. /** 1111 1101 0000 a010 srca srcb mullh %1, %2, %0 */
  821. ID(mullh); DR(a+32); SR(srca); S2R(srcb); F_____;
  822. /** 1111 1101 0001 111i a m11 rdst mvfacgu #%2, %1, %0 */
  823. ID(mvfacgu); S2C(((i^1)<<1)|m); SR(a+32); DR(rdst); F_____;
  824. /** 1111 1101 0001 0111 a011 rdst mvtacgu %0, %1 */
  825. ID(mvtacgu); DR(a+32); SR(rdst); F_____;
  826. /** 1111 1101 0001 1001 a00i 0000 racl #%1, %0 */
  827. ID(racl); SC(i+1); DR(a+32); F_____;
  828. /** 1111 1101 0001 1001 a10i 0000 rdacl #%1, %0 */
  829. ID(rdacl); SC(i+1); DR(a+32); F_____;
  830. /** 1111 1101 0001 1000 a10i 0000 rdacw #%1, %0 */
  831. ID(rdacw); SC(i+1); DR(a+32); F_____;
  832. /** 1111 1111 1010 rdst srca srcb fadd %2, %1, %0 */
  833. ID(fadd); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  834. /** 1111 1111 1000 rdst srca srcb fsub %2, %1, %0 */
  835. ID(fsub); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  836. /** 1111 1111 1011 rdst srca srcb fmul %2, %1, %0 */
  837. ID(fmul); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  838. /** 1111 1100 1010 00sd rsrc rdst fsqrt %1%S1, %0 */
  839. ID(fsqrt); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  840. /** 1111 1100 1010 01sd rsrc rdst ftou %1%S1, %0 */
  841. ID(ftou); DR(rdst); SD(sd, rsrc, LSIZE); F__SZ_;
  842. /** 1111 1100 0101 01sd rsrc rdst utof %1%S1, %0 */
  843. ID(utof); DR (rdst); SP(sd, rsrc); F__SZ_;
  844. /** 0000 0110 mx10 00sd 0001 0101 rsrc rdst utof %1%S1, %0 */
  845. ID(utof); DR (rdst); SPm(sd, rsrc, mx); F__SZ_;
  846. /*----------------------------------------------------------------------*/
  847. /* RXv3 enhanced */
  848. /** 1111 1111 0110 rdst srca srcb xor %2, %1, %0 */
  849. ID(xor); DR(rdst); SR(srcb); S2R(srca); F__SZ_;
  850. /** 1111 1100 0101 1110 rsrc rdst bfmov %bf */
  851. ID(bfmov); DR(rdst); SR(rsrc); S2C(IMM(2)); F_____;
  852. /** 1111 1100 0101 1010 rsrc rdst bfmovz %bf */
  853. ID(bfmovz); DR(rdst); SR(rsrc); S2C(IMM(2)); F_____;
  854. /** 1111 1101 0111 0110 1101 rsrc 0000 0000 rstr %1 */
  855. ID(rstr); SR(rsrc); F_____;
  856. /** 1111 1101 0111 0110 1111 0000 rstr #%1 */
  857. ID(rstr); SC(IMM(1)); F_____;
  858. /** 1111 1101 0111 0110 1100 rsrc 0000 0000 save %1 */
  859. ID(save); SR(rsrc); F_____;
  860. /** 1111 1101 0111 0110 1110 0000 save #%1 */
  861. ID(save); SC(IMM(1)); F_____;
  862. /** 1111 1101 0111 0111 1000 rsrc rdst 001s dmov%s %1, %0 */
  863. ID(dmov); DDRH(rdst); SR(rsrc); DL(s); F_____;
  864. /** 1111 1101 0111 0111 1000 rsrc rdst 0000 dmov.l %1, %0 */
  865. ID(dmov); DDRL(rdst); SR(rsrc); F_____;
  866. /** 1111 1101 0111 0101 1000 rdst rsrc 0010 dmov.l %1, %0 */
  867. ID(dmov); DR(rdst); SDRH(rsrc); F_____;
  868. /** 1111 1101 0111 0101 1000 rdst rsrc 0000 dmov.l %1, %0 */
  869. ID(dmov); DR(rdst); SDRL(rsrc); F_____;
  870. /** 0111 0110 1001 0000 rsrc 1100 rdst 0000 dmov.d %1, %0 */
  871. ID(dmov); DDR(rdst); SDR(rsrc); F_____;
  872. /** 1111 1100 0111 1000 rdst 1000 rsrc 0000 dmov.d %1, %0 */
  873. ID(dmov); DD(0, rdst, 0); SDR(rsrc); F_____;
  874. /** 1111 1100 0111 10sz rdst 1000 dmov.d %1, %0 */
  875. int rsrc;
  876. rx_disp(0, sz, rdst, RX_Double, ld);
  877. rsrc = GETBYTE();
  878. if (rsrc & 0x0f)
  879. UNSUPPORTED();
  880. else {
  881. ID(dmov); SDR(rsrc >> 4); F_____;
  882. }
  883. /** 1111 1100 1100 1000 rsrc 1000 rdst 0000 dmov.d %1, %0 */
  884. ID(dmov); SD(sd, rsrc, 0) ; DDR(rdst); F_____;
  885. /** 1111 1100 1100 10sz rsrc 1000 dmov.d %1, %0 */
  886. int rdst;
  887. rx_disp(1, sz, rsrc, RX_Double, ld);
  888. rdst = GETBYTE();
  889. if (rdst & 0x0f)
  890. UNSUPPORTED();
  891. else {
  892. ID(dmov); DDR(rdst >> 4); F_____;
  893. }
  894. /** 1111 1001 0000 0011 rdst 001s dmov%s #%1, %0 */
  895. ID(dmov); DDRH(rdst); DL(s); SC(IMMex(0)); F_____;
  896. /** 1111 1001 0000 0011 rdst 0000 dmov.l #%1, %0 */
  897. ID(dmov); DDRL(rdst); SC(IMMex(0)); F_____;
  898. /** 0111 0101 1011 1000 rdst rnum dpopm.d %1-%2 */
  899. ID(dpopm); SDR(rdst); S2DR(rdst + rnum); F_____;
  900. /** 0111 0101 1010 1000 rdst rnum dpopm.l %1-%2 */
  901. ID(dpopm); SCR(rdst); S2CR(rdst + rnum); F_____;
  902. /** 0111 0101 1011 0000 rdst rnum dpushm.d %1-%2 */
  903. ID(dpushm); SDR(rdst); S2DR(rdst + rnum); F_____;
  904. /** 0111 0101 1010 0000 rdst rnum dpushm.l %1-%2 */
  905. ID(dpushm); SCR(rdst); S2CR(rdst + rnum); F_____;
  906. /** 1111 1101 0111 0101 1000 rdst rsrc 0100 mvfdc %1, %0 */
  907. ID(mvfdc); DR(rdst); SCR(rsrc); F_____;
  908. /** 0111 0101 1001 0000 0001 1011 mvfdr */
  909. ID(mvfdr); F_____;
  910. /** 1111 1101 0111 0111 1000 rdst rsrc 0100 mvtdc %1, %0 */
  911. ID(mvtdc); DCR(rdst); SR(rsrc); F_____;
  912. /** 0111 0110 1001 0000 rsrc 1100 rdst 0001 dabs %1, %0 */
  913. ID(dabs); DDR(rdst); SDR(rsrc); F_____;
  914. /** 0111 0110 1001 0000 srcb 0000 rdst srca dadd %1, %2, %0 */
  915. ID(dadd); DDR(rdst); SDR(srca); S2DR(srcb); F_____;
  916. /** 0111 0110 1001 0000 srcb 1000 cond srca dcmp%0 %1, %2 */
  917. ID(dcmp); DCND(cond); SDR(srca); S2DR(srcb); F_____;
  918. /** 0111 0110 1001 0000 srcb 0101 rdst srca ddiv %1, %2, %0 */
  919. ID(ddiv); DDR(rdst); SDR(srca); S2DR(srcb); F_____;
  920. /** 0111 0110 1001 0000 srcb 0010 rdst srca dmul %1, %2, %0 */
  921. ID(dmul); DDR(rdst); SDR(srca); S2DR(srcb); F_____;
  922. /** 0111 0110 1001 0000 rsrc 1100 rdst 0010 dneg %1, %0 */
  923. ID(dneg); DDR(rdst); SDR(rsrc); F_____;
  924. /** 0111 0110 1001 0000 rsrc 1101 rdst 1101 dround %1, %0 */
  925. ID(dround); DDR(rdst); SDR(rsrc); F_____;
  926. /** 0111 0110 1001 0000 rsrc 1101 rdst 0000 dsqrt %1, %0 */
  927. ID(dsqrt); DDR(rdst); SDR(rsrc); F_____;
  928. /** 0111 0110 1001 0000 srcb 0001 rdst srca dsub %1, %2, %0 */
  929. ID(dsub); DDR(rdst); SDR(srca); S2DR(srcb); F_____;
  930. /** 0111 0110 1001 0000 rsrc 1101 rdst 1100 dtof %1, %0 */
  931. ID(dtof); DDR(rdst); SDR(rsrc); F_____;
  932. /** 0111 0110 1001 0000 rsrc 1101 rdst 1000 dtoi %1, %0 */
  933. ID(dtoi); DDR(rdst); SDR(rsrc); F_____;
  934. /** 0111 0110 1001 0000 rsrc 1101 rdst 1001 dtou %1, %0 */
  935. ID(dtou); DDR(rdst); SDR(rsrc); F_____;
  936. /** 1111 1101 0111 0111 1000 rsrc rdst 1010 ftod %1, %0 */
  937. ID(ftod); DDR(rdst); SR(rsrc); F_____;
  938. /** 1111 1101 0111 0111 1000 rsrc rdst 1001 itod %1, %0 */
  939. ID(itod); DDR(rdst); SR(rsrc); F_____;
  940. /** 1111 1101 0111 0111 1000 rsrc rdst 1101 utod %1, %0 */
  941. ID(dsqrt); DDR(rdst); SR(rsrc); F_____;
  942. /** */
  943. return rx->n_bytes;
  944. }