shlr16.s 271 B

1234567891011121314151617181920
  1. # sh testcase for shlr16
  2. # mach: all
  3. # as(sh): -defsym sim_cpu=0
  4. # as(shdsp): -defsym sim_cpu=1 -dsp
  5. .include "testutils.inc"
  6. start
  7. shrl16:
  8. set_grs_a5a5
  9. shlr16 r0
  10. assertreg0 0xa5a5
  11. shlr16 r0
  12. assertreg0 0
  13. set_greg 0xa5a5a5a5, r0
  14. test_grs_a5a5
  15. pass
  16. exit 0